I have the following VHDL code that defines a constant of particular width.
constant WIDTH : natural := 16
constant X : std_logic_vector(WIDTH - 1 downto 0) := std_logic_vector(to_unsigned(16#0#, WIDTH));
How do I define something like this in SystemVerilog?
I would assume that something like this would correspond to
parameter WIDTH = 16
parameter X = WIDTH'd1231413412
But this doesn't seem to work with my Verilog compiler

16#0#mean? I know SystemVerilog, but I'm not very familiar with VHDLconstant X: std_logic_vector (WIDTH -1 downto 0) := (others => '0');